Berita

Intel Mempercepat Inovasi Process dan Packaging Inovasi

Intel Corporation mengungkapkan salah satu panduan teknologi process dan packaging semikonduktor paling detil guna mendukung produk hingga tahun 2025.

Intel Corporation hari ini mengungkapkan salah satu panduan teknologi process dan packaging semikonduktor paling detil. Teknologi ini menjadi yang terdetail dan menampilkan serangkaian inovasi utama yang akan mendukung produk hingga tahun 2025 dan seterusnya.

Selain mengumumkan RibbonFET, arsitektur transistor baru pertama dalam lebih dari satu dekade, dan PowerVia, metode baru pengiriman daya backside pertama, perusahaan menyoroti adopsi yang direncanakan dari extreme ultraviolet lithography (EUV) generasi berikutnya, yang dirujuk sebagai High Numerical Aperture (High NA) EUV. Intel diposisikan untuk menerima alat produksi High NA EUV pertama.

“Membangun kepemimpinan Intel yang tidak diragukan lagi dalam pengemasan canggih, kami mempercepat panduan inovasi kami untuk memastikan kami berada di jalur yang tepat untuk memimpin kinerja sistem process pada tahun 2025,”

ungkap CEO Intel, Pat Gelsinger, selama webcast global “Intel Accelerated”.

Industri telah lama menyadari bahwa penamaan process node s berbasis nanometer tradisional tak lagi tepat untuk metrik panjang gerbang yang sebenarnya pada tahun 1997. Hari ini, Intel memperkenalkan struktur penamaan baru untuk process node, menciptakan kerangka kerja yang jelas dan konsisten untuk memberikan pelanggan tampilan process node yang lebih akurat di seluruh industri.

Komitmen ini menjadi lebih penting dari sebelumnya dengan peluncuran Intel Foundry Services. “Inovasi yang diluncurkan hari ini tidak hanya akan mewujudkan roadmap produk-produk Intel; namun mereka juga akan sangat penting bagi pelanggan foundry kami” kata Gelsinger. “Minat pada IFS sangat besar dan saya senang hari ini kami mengumumkan dua pelanggan utama pertama kami. IFS akan maju terus!”

Ahli teknologi Intel menjelaskan roadmap berikut dengan nama node baru dan inovasi yang memungkinkan setiap node:

  • Intel 7 memberikan peningkatan kinerja per watt sekitar 10% hingga 15% dibandingkan Intel 10nm SuperFin, berdasarkan optimalisasi transistor FinFET. Intel 7 akan hadir dalam produk seperti Alder Lake for client pada tahun 2021 dan Sapphire Rapids for the data center, yang diharapkan akan diproduksi pada kuartal pertama tahun 2022
  • Intel 4 sepenuhnya menggunakan litografi EUV untuk mencetak fitur yang sangat kecil menggunakan cahaya dengan panjang gelombang ultra pendek. Dengan peningkatan kinerja per watt sekitar 20%, bersama dengan peningkatan area, Intel 4 akan siap produksi pada paruh kedua tahun 2022 untuk pengiriman produk pada tahun 2023, termasuk Meteor Lake for client dan Granite Rapids for the data center.
  • Intel 3 memanfaatkan optimalisasi FinFET lebih lanjut dan peningkatan EUV untuk menghadirkan peningkatan kinerja per watt sekitar 18% dibandingkan Intel 4, bersama dengan peningkatan area tambahan. Intel 3 akan siap untuk manufaktur produk pada paruh kedua tahun 2023.
  • Intel 20A mengantar era angstrom dengan dua terobosan teknologi, RibbonFET dan PowerVia. RibbonFET, implementasi transistor Intel, akan menjadi arsitektur transistor baru pertama perusahaan sejak memelopori FinFET pada tahun 2011. Teknologi ini memberikan kecepatan peralihan transistor yang lebih cepat sambil mencapai arus yang sama dengan beberapa fin dalam footprint yang lebih kecil. PowerVia adalah implementasi unik pertama dalam industri pengiriman daya backside, mengoptimalkan transmisi sinyal dengan menghilangkan kebutuhan untuk mengarahkan daya di sisi depan. Intel 20A diperkirakan akan meningkat pada tahun 2024. Perusahaan juga senang dengan peluang untuk bermitra dengan Qualcomm menggunakan teknologi proses Intel 20A.
  • 2025 dan Selanjutnya: Di luar Intel 20A, Intel 18A sudah dalam pengembangan untuk rilis awal 2025 dengan penyempurnaan pada RibbonFET yang akan memberikan lompatan besar lainnya dalam kinerja transistor. Intel juga bekerja untuk mendefinisikan, membangun, dan menerapkan High NA EUV generasi berikutnya, dan berharap untuk menerima alat produksi pertama di industri. Intel bermitra erat dengan ASML untuk memastikan keberhasilan terobosan industri melampaui generasi EUV saat ini.

Dengan strategi IDM 2.0 baru Intel, pengemasan menjadi semakin penting untuk mewujudkan manfaat Hukum Moore. Intel mengumumkan bahwa AWS akan menjadi pelanggan pertama yang menggunakan solusi pengemasan IFS, sekaligus memberikan wawasan berikut tentang panduan pengemasan canggih terdepan untuk industri perusahaan:

  • EMIB terus memimpin industri sebagai solusi embedded bridge 2.5D pertama, dengan pengiriman produk sejak 2017. Sapphire Rapids akan menjadi produk Xeon datacenter pertama yang dikirim dalam volume dengan EMIB (embedded multi-die interconnect bridge). Ini juga akan menjadi perangkat dual-reticle-sized pertama di industri, memberikan kinerja yang hampir sama dengan desain monolitik. Selain Sapphire Rapids, generasi EMIB berikutnya akan berevolusi dari bump pitch 55 mikron ke 45 mikron.
  • Foveros memanfaatkan kemampuan wafer-level packaging untuk menyediakan solusi 3D stacking pertama. Meteor Lake akan menjadi implementasi generasi kedua dari Foveros dalam produk klien dan memiliki fitur bump pitch 36 mikron, tiles yang mencakup beberapa node teknologi dan rentang daya desain termal berkisar dari 5 hingga 125W.
  • Foveros Omni hadirkan generasi berikutnya dari teknologi Foveros dengan memberikan fleksibilitas tak terbatas dengan kinerja teknologi 3D stacking untuk interkoneksi die-to-die dan desain modular. Foveros Omni memungkinkan die disaggregation, menggabungkan beberapa multiple top die tiles dengan multiple base tiles di seluruh node fab campuran dan diharapkan siap untuk produksi pada tahun 2023.
  • Foveros Direct bergerak mengarahkan copper-to-copper bonding untuk interkoneksi dengan resistansi rendah dan mengaburkan batas dimana lapisan wafer berakhir dan package dimulai. Foveros Direct memungkinkan pitch bump sub-10 mikron memberikan urutan peningkatan kepadatan interkoneksi untuk 3D stacking, membuka konsep baru untuk functional die partitioning yang sebelumnya tidak dapat dicapai. Foveros Direct melengkapi Foveros Omni dan juga diharapkan siap pada tahun 2023.

Terobosan yang menjadi pembahasan pada hari ini terutama dikembangkan pada fasilitas Intel Oregon dan Arizona, memperkuat peran perusahaan sebagai satu-satunya pemain terdepan dengan penelitian dan pengembangan maupun manufaktur AS.

Selain itu, inovasi-inovasi ini menjadi kolaborasi erat dengan ekosistem mitra, baik AS maupun Eropa. Kemitraan yang mendalam adalah kunci untuk membawa inovasi dasar dari lab ke manufaktur bervolume tinggi, dan Intel berkomitmen untuk bermitra dengan pemerintah guna memperkuat rantai pasokan dan mendorong keamanan ekonomi dan nasional.

Perusahaan menutup sesi webcast dengan mengkonfirmasi lebih lanjut tentang acara Intel InnovatiON. Intel InnovatiON akan berlangsung di San Francisco dan online pada 27-28 Oktober 2021. Informasi lebih lanjut tersedia melalui situs web Intel ON.

Remaja Gen Z yang punya memori nostalgia zaman SD saat bermain Stronghold Crusader, Harvest Moon: Back to Nature, sampai Warcraft II. Hubungi: irgie@gamedaim.com

Related Posts

Leave Comment
Hidupkan Notifikasi OK No thanks